Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to do coverage in vcs

Status
Not open for further replies.

anubhaw

Newbie level 3
Joined
Oct 4, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,317
Hi,

I done my coverage in uvm_monitor. i am running the test in vcs tool.

For coverage report I need simv.vdb file to run "urg" command.
i am using the following command :

urg -dir simv.vdb -report IndividualCovReport/test_name
urg -dir simv.vdb -format text -report IndividualCovReport/test_name

but i am getting following error :

Warning-[URG-DNF] Directory not found
The directory 'simv.vdb' could not be found: No such file or directory.
Please verify all the directories given to the -dir option are valid.


Error-[URG-ND] No source data
No source data was supplied to URG.
You may use options like '-dir', '-plan' or '-trend' to specify the source
data. Please run 'urg -help' for information.

Can anyone help me in this?

Please let me know how can I generate simv.vdb file?

Thanks

PS: I am using UVM ENV
 

Hi ,

I got [URG-DNF] error only when path given is wrong. Please check that your path for simv.vdb is correct :) .
 

Hi ,

I got [URG-DNF] error only when path given is wrong. Please check that your path for simv.vdb is correct :) .

so, how to set the path? Thanks


-I have the same error:
"Warning-[URG-DNF] Directory not found
The directory 'simv.vdb' could not be found: No such file or directory.
Please verify all the directories given to the -dir option are valid. "
 

please post all the commands you have given in vcs
 

Hi ,

Are you giving
urg -report check -dir simv.vdb ?
 

vcs +v2k tb_seq_detector.v seq_detector.v -debug

./simv _gui

./simv -gui

vcs -cm line+cond+fsm -cm_line contassign -cm_cond allops+anywidth+event -cm_noconst seq_detector.v tb_seq_detector.v +v2k

./simv -cm line+cond+fsm -cm_name test1

urg -dir ./simv.vdb -format both



please try these commands in step by step to get condition line and fsm coverage
here seq_detector.v is the code and tb_seq_detector.v is the test bench.

./simv _gui or
./simv -gui any of these commands can be used to view either in terminal or in gui



regards
NIKHIL N A
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top