Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to define High fanout net in Primetime

Status
Not open for further replies.

hgby2209

Full Member level 2
Joined
Mar 7, 2003
Messages
134
Helped
8
Reputation
16
Reaction score
8
Trophy points
1,298
Activity points
1,105
set_ideal_net

The set_ideal_network & set_ideal_net can't support in Primetime.
how to define High fanout net in Primetime......
 

high fanout net

For prelayout sta, you can use command set_disable_timing.
 

high fanout nets

No, PrimeTime support the set_ideal_network and set_ideal_net.
I have use PrimeTime many times and have not heard it can't support the two commands.
 

set_ideal_network synopsys

yes, Primetime supports set_ideal_net etc. comands .
 

can you use prime time scripts in dc?

Hi!! horzonbluz & zyphor,

Could you please tell me what version of Primetime you use?
Our Primetime version is 2003.03.
I really can't use these commands in PT. I will check the new version
support or not.
 

high-fanout net

my pt version is 2004.06, I could not use the two commands too. why?
 

high fanout net sdc

Hi,
PrimeTime has a tool: tanscript. It can transform the scripts of DC to scripts of PT.

And, PrimeTime can't support those two commands. I try it!

Good Luck
 

primetime find high fanout nets

Primetime is used for STA, the design has been routed completely, Why do you still has high fanout net?
 

define high-design

Hi
I think set_ideal_net doesn't work in primetime. There was a STAR opened long time ago. synopsys didn't fix it yet (atleast until the version I use 2003.12-sp1)

You can use
set_load -subtract_pin_load 0.0 [get_nets "high_fanout_net_name"]

What this command does is ...
It sets capacitance value of 0 on that net and removes max transition and max capacitance limit. This behaves pretty much same as "set_ideal_net"
 

get_nets with high fanout in dc

Why would you want to use set_ideal_net in primetime? It makes no sense to even run primetime on non-back-annotated parasitics, pre-high-fanout-net-synthesis netlist. At least thats my
opinion...
 

net fanout primetime script

When doing Pre-Layout STA you must use these command for high-fan-out net,
in DC theses command are work,but in PT we must use anyother way to set these as ideal.
for example using set_load command .......
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top