1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
| `timescale 1ns/1ns
module t;
reg [7:0] old_a, a, b;
initial begin
a = 7;
b = 3;
$display ("Initial values:\n%t - a = %h, b = %h, old_a = %h", $time, a, b, old_a);
#100;
a = 5;
b = 8;
$display ("Initial values:\n%t - a = %h, b = %h, old_a = %h", $time, a, b, old_a);
#100;
end
always @* begin
old_a = a;
a = b;
b = old_a;
end
initial begin
$monitor ("Swapped values:\n%t - a = %h, b = %h, old_a = %h", $time, a, b, old_a);
end
endmodule |