Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to constrain the design clock with Design Compiler?

Status
Not open for further replies.

feel_on_on

Full Member level 5
Joined
Apr 29, 2005
Messages
283
Helped
6
Reputation
12
Reaction score
4
Trophy points
1,298
Activity points
3,208
CLK1,CLK2,CLK3 was selected by a multiplex,then generate CLK4,CLK4 frequency was divided ,and get CLK5,.....

how to constraint the design clock with Design Compiler
 

question on DC

u can look up these commands : create clock and set_propagated_clock.
 

question on DC

CLK5 should be set as generated_clock
 

question on DC

clk4 should be gated clk
and as previously said clk5 is generated clk
u can use create_generated_clk command and give the divide factor.
u can see the generated clk after synthesis in ur report from report_clk command
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top