Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to compile Matlab to Xilinx FPGA ?

Status
Not open for further replies.

tmrnvrcome

Newbie level 4
Joined
May 18, 2010
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,310
I am currently working on a project which i have to write image processing codes in Matlab and interface it with FPGA embedded board.

Device: Xilinx Spartan 3A DSP FPGA

I am new to both Matlab and Xilinx.

Can someone help me to go about doing this?

How do I make a reference design code for the board? What are the softwares to use?

Can I compile the codes directly from Matlab and interface it to the board? Or do I have to use block diagrams in Simulink?

I have done some research and there are several ways to do it: using SysGen, using Simulink HDL coder, using Xilinx Platform Studio... I am really confused.

Are there any lab tutorials for me to follow?
 

u r requirement is from matlab u want to dump in to fpga....but i don't think throught xps u can dump...but u can through xilinx ise...i have done once.u have to design blocks in simulink later u need xilinx system generator which converts u r design in to verilog to vhdl code copy that code paste in ise and impact it..this is process i gone...i think this will help u.
email:basicsofvlsi@gmail.com
 
  • Like
Reactions: somulu

    somulu

    Points: 2
    Helpful Answer Positive Rating
I tried doing to same initially because HDL programming appeared far more difficult than MATLAB and because MATLAB code snippets are abundantly available, but i failed horribly at it. The XIlinx system generator does work but only for certain built in functions of MATLAB. It cannot convert ur customized code MATLAB code to Verilog/VDHL.
In my case it was just a lot of waste of time and eventually i started right from scratch on Verilog. I would advise you to avoid the trouble and start straight from HDL. The rest is up to you :) Good luck
 

Thanks for the replies.


What if I use Simulink HDL Coder? Is it easier and more efficient to use compared to using SysGen and Xilinx ISE?
 

Dear sir, If have any related material of interfacing Matlab and FPGA please send me(gugulothusomulu06@gmail.com).
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top