Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to compile C, System verilog DPI codes

Status
Not open for further replies.

shiva.shankar87

Newbie level 3
Joined
May 20, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Singapore
Activity points
1,305
Hi,

I am very much new to verification environments. I have the code for a specific DUT in system verilog and the corresponding C code. I just need to know how to compile them and see the output. I am using modelsim 6.1f and g++ compiler to compile my system verilog and C codes respectively.

can someone tell me how to compile these or direct me to some reference so that I can do this? thanks in advance.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top