Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to change this coding to 8 8 bit register?

Status
Not open for further replies.
Re: 8 8 bit resgister

if i use indexin : in std_logic_vector(1 downto 0);

internalregisters(conv_integer(indexin)) <= datain; <<<<< in this line datain store in internalregisters(conv_integer(0)) or internalregisters(conv_integer(1)) ?
 

Re: 8 8 bit resgister

>> if i use indexin : in std_logic_vector(1 downto 0);

>> internalregisters(conv_integer(indexin)) <= datain; <<<<< in this line datain store in internalregisters(conv_integer(0)) or internalregisters(conv_integer(1)) ?

This depends. If 'indexin' is '0' then it is 'internalregisters(0)'.
With 'indexin' set to '1' it is 'internalregisters(1)'.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top