khaila
Full Member level 2
Supposed we shall add a constant to STD_LOGIC_VECTOR:
A_UNS : in std_logic_vector(3 downto 0);
Y1_UNS : out std_logic_vector(3 downto 0);
Y2_UNS : out std_logic_vector(3 downto 0);
.
.
signal y_uns_std : std_logic_vector(3 downto 0);
constant y_const : std_logic_vector(3 downto 0) := "1111";
.
.
y_uns_std <= "1111";
.
.
Y1_UNS <= A_UNS + y_uns_std ;
Y2_UNS <= A_UNS + y_const ;
.
.
HOW THESIZER will tret Y1_UNS and Y2_UNS ??? Is there any different???
A_UNS : in std_logic_vector(3 downto 0);
Y1_UNS : out std_logic_vector(3 downto 0);
Y2_UNS : out std_logic_vector(3 downto 0);
.
.
signal y_uns_std : std_logic_vector(3 downto 0);
constant y_const : std_logic_vector(3 downto 0) := "1111";
.
.
y_uns_std <= "1111";
.
.
Y1_UNS <= A_UNS + y_uns_std ;
Y2_UNS <= A_UNS + y_const ;
.
.
HOW THESIZER will tret Y1_UNS and Y2_UNS ??? Is there any different???