Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How can we design a frequency doubler circuit?

Status
Not open for further replies.

vlsi_freak

Full Member level 2
Joined
Sep 3, 2007
Messages
127
Helped
14
Reputation
28
Reaction score
8
Trophy points
1,298
Activity points
2,041
Hello..

How can we design a frequency doubler circuit. (Other than using a PLL/DLL circuit)

Please share your thoughts and ideas.

Thanks
 

Re: Frequency Doubler

To generate a freq doubler i think you need to generate outputs at both edges of the clock. Its like having one state machine give you o/p '1' at the rising edge and another at the falling edge. When both the state machine o/ps are combined, you get a clock/ signal which has double the freq of the input signal.
 

Frequency Doubler

if you use the coding style ,the code you write can not be synthsis
 

Re: Frequency Doubler

Use PLL and DLL for a good clock doubler with best quality.

If you just want to build an easy doubler and don't care much about clitch... use xor gates.

delay1 DLYXL (.A(iclk), .Y(clk_d));
delay2 DLYXL (.A(clk_d), .Y(clk_1d));
delay3 DLYXL (.A(clk_1d), .Y(clk_2d));
delay4 DLYXL (.A(clk_2d), .Y(clk_3d));

assign clk_doubler = clk_3d ^ iclk;

as you can see, you can program the delay to adjust the duty cycle of the clock.

Regards
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top