Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how can i execute delay time??

Status
Not open for further replies.

moh_monem43

Member level 1
Joined
Nov 16, 2005
Messages
39
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Location
EGYPT- SAUDI ARABIA
Activity points
1,570
How can I make simulation for inertial delay and transport delay?
I tried to execute these examples

Library ieee;
Use ieee.std_logic_1164.all;
Entity buf is
Port (a : in std_logic;
B : out std_logic);
End buf;
Architecture buf of buf is
Begin
b <= a after 20 ns;
end buf;



library ieee;
use ieee.std_logic_1164.all;
entity delay_line is
port (a : in std_logic;
b : out std_logic);
end delay_line;

architecture delay_line of delay_line is
begin
b <= transport a after 20 ns;
end delay line;

when simulated previous two examples, delay time didn’t appear on signals. Why??
I used MAX 7000S family
 

If you have a model which works, use it until the end. Both the examples you posted ain't going to go into logic, you need to set additional timing constraints in Altera software in order to infer a 20ns delay line.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top