Help understanding Verilog code

Status
Not open for further replies.

Sadia Ahmad

Newbie level 1
Joined
Jun 17, 2013
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
5
Help understanding Verilogcoding

Hello
im new to verilog and i was hoping if someone
 
Last edited:

i need step by step explaination!!!!THX

step 1: buy verilog book
step 2: read verilog book
step 3: interpret above code
step 4: profit!

Alternatively, go over some verilog tutorials on the net and at least try to understand some of it yourself. Right now this comes across as being just a tiny bit lazy.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…