Help me write FFT code in Verilog

Status
Not open for further replies.

vahidfigo

Newbie level 1
Joined
Jun 28, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,287
hello every body
i should write a verilog code for fft
but i hardly know verilog syntax, i am very tenderfoot in it
i need an emergency help for at least learning the concept of its algorithm
so i would be glad if some body can guide me with a lecture which explains the algorithm
i wrote fft code in C++ before, but as i understood this is totally different
thanks for your help
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…