Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Help me convert simple Verilog line to VHDL

Status
Not open for further replies.

uselessmail

Junior Member level 3
Joined
Mar 6, 2012
Messages
28
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,479
Hi there! Could someone help me convert this Verilog line into VHDL...

Code:
output reg outp;
always @(posedge clk)
{outp, data_out[7:1]} <= data_out[7:0];

Would really appreciate some help!
Thanks!
 

Re: Verilog to VHDL help


Code VHDL - [expand]
1
2
3
4
5
6
7
process(clk)
begin
  if rising_edge(clk) then
    output <= data_out(7);
    data_out(7 downto 1) <= data_out(6 downto 0);
  end if;
end process;

 
Re: Verilog to VHDL help

Thanks a lot mate!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top