[Help]Frequency divider to give 1ms

Status
Not open for further replies.

didoe

Newbie level 4
Joined
Aug 26, 2009
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Viet Nam
Activity points
1,308
I'm a new on VHDL and FPGA. I need code frequency divider from 50MHz defaul to give 1ms. Pls help me. Thanks !
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…