Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Generic in Verilog............

Status
Not open for further replies.

Guru59

Full Member level 4
Joined
Jul 10, 2006
Messages
217
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
2,812
verilog generic

hai everyone...

suppose i need to use a generic in verilog--------------(generic doesn't exist in verilog)------------how to write the functionality of generic in verilog.............
 

generic verilog

Guru59 said:
hai everyone...

suppose i need to use a generic in verilog--------------(generic doesn't exist in verilog)------------how to write the functionali
ty of generic in verilog.............

Use parameters, quite similar ones, though not strongly typed as VHDL generics are.

HTH
Ajeetha, CVC
www.noveldv.com
 

verilog generics

As mentioned use "parameter". Its similar to generic in VHDL. As you do generic map in vhdl (structural), here in verilog, parameter can do the function using defparam statement. Another is #(parameter values)...

Regards....
 

generic map verilog

parameter does the same functionality in the verilog ,so u can use it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top