$fsdbDumpfile problem

Status
Not open for further replies.

tarkyss

Full Member level 6
Joined
Aug 1, 2005
Messages
340
Helped
26
Reputation
52
Reaction score
8
Trophy points
1,298
Location
China
Activity points
4,162
fsdbdumpfile

how to let Modelsim recognize $fsdbDumpfile function?
 

$fsdbdumpfile

Procedure for dump fsdb use ModelSim(winnt , verilog)
1.install Modelsim
2.install Debussy
3.
//add fsdb PLI in your testbench
initial begin
$fsdbDumpfile("pattern.fsdb";
$fsdbDumpvar(0,pattern);
end
4. copy debussy/share/pli/modelsim/novas.dll
copy debussy/share/pli/modelsim/novas_verilog.v
to your module directory
5. change modlsim.ini writeable(defaule is readonly)
mark Veriuser=....
then add veriuser=novas.dll
6.use modelsim to compile your design, don't forget include novas_verilog.v
7.simulate -> Run All -> finish

you will see pattern.fsdb in this folder

becsuae many people ask about this question,
so I re-write a more clear procedure.
enjoy it!
 

modelsim fsdbdumpfile

but i cannot find novas.dll
my debussy version is 5.4v10
modelsim version is 6.1a
 

modelsim fsdbdumpfile

Check with the document "Linking Novas File with Simulators to Enable FSDB Waveform Dumping" which is located in $DEB_DIR/doc
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…