[Floating Point Number declaration in VHDL package File]

Status
Not open for further replies.

whizkid123

Junior Member level 2
Joined
Oct 6, 2011
Messages
23
Helped
1
Reputation
2
Reaction score
3
Trophy points
1,283
Location
Singapore
Activity points
1,421
Hi all,

I have assigned floating point number in my VHDL package errors and spyglass is flagging a warning


Use of FLOATING point type is not supported .


Can anybody know, is this a potential warning/Error which needs to be fixed?

Regards
Murali
 

Well RTL code only knows bit/std logic signals, floating point is an abstraction, coded in your std_logic_vector.
Floating is only usable with REAL type in VHDL, and this is not synthesisable, only for test purpose.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…