Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Efficiency of Analog IC Designing

Status
Not open for further replies.
i think it depends,
there is not one good answer for it
 

One connot do everything ,the second way will be more efficient
 

gevy said:
Hi all,

There are two ways of Analog IC Designing.
The first way.
One designer develops the electric circuit, carry out simulation and layout.
The second way.
One designer develops the electric circuit and carry out simulation but the layout is drawn by other designer.
What way of designing is better for analog chips?

I prefere the first choice. The layout engineers can create problems...bad placement, long wires...
 

I also preferred first choice if i have 48 hours per day to use..... :sm3:
 

I don't like spend a lot time to do the layout job.
but I will give a plan plot to layout.
I use both ways.
 

SwordFish said:
gevy said:
Hi all,

There are two ways of Analog IC Designing.
The first way.
One designer develops the electric circuit, carry out simulation and layout.
The second way.
One designer develops the electric circuit and carry out simulation but the layout is drawn by other designer.
What way of designing is better for analog chips?

I prefere the first choice. The layout engineers can create problems...bad placement, long wires...

If i might add something. A real designer in my opinion has to be able to de everything: from schematic design, layout and after that post layout simulation. After he gets the prototype he should also go in the lab and measure his'creation'. Otherwise is hard to be a complete designer.

How can you give layout guidence to the layout guy if you have never seen/done a layout in your life? Or if you have never had a chance to measure and verify different topologies? Please correct me if I am wrong. BTW, I was among the lucky ones to do everything for my circuits
 

I think the important thing is the implementation of your idea, not only correct simulation result. So I will begin to study layout, but major is also the design.
 

hi,
it is always better the one who designs the circuit layouts himself.because he knows the design issues
for the circuit.
else that designer should be in always in contact with layout engineer
 

There are two different issues
1. Knowing Layout
2. Knowing Layout tool

Difference is very similar to knowing to run the spice tool for somebody elses circuit or designing a usefull circuit.

A designer must know very well how to layout his circuit but knowing the layout tool is not necessary (overhead; an example to it is knowing how to drive a car but generaly letting somebody else to drive the car relieves you to do more valuable work. In general driver does not know where you are going so you have to describe that along the way. If the car example is so simple make it an airplane).

If designers are not productive but followers of the others (memorizing, doing somebody elses work for minor cosmetic changes or repetative work or simply just a simulation tool user) than they might value knowing the tool since it is another tool to learn. If designer is able to do original work knowing a layout tool is not important (not productive) so s/he does not waste his/her memory and time for it. But both in above cases a designer should know all the layout issues and what layout should look like and should end up. Designer should himself/herself should be able to mentaly layout the circuit and advise a layout designer for improwing the layout or when layout designer stuck.
 

i think circuit desing is more challenging than layout part ..
 

in company , the second is the trend .

Added after 12 minutes:

but layout is the basic knowledge that you must master
 

Maybe it also depends on the scale of the project.For small ones, the first way works better.
 

I support the second way. Because we have schedule pressure, the efficiency is very critical.
 

If an analog designer doesn't know the layout,he cann't become a excellent designer for ever!
 

the 2th is better based on well communication between circuit designer and layout designer
 

I follow the first one ...as I am a student now and don't have the second option....but I heard in industry the second one is followed for faster output and product dead line....
 

In high frequency, the design of layout is very improtant to chip design. many effctive must be considerable. so you can consider the later method firstly, than use the fisrt method when you have some experience.
 

I think the second way is better. The designers only need to focus on the circuit design and how advance its perfomance. He does not need to know about the messy layout work. On the other way, the Layout Engineers only need to understand the layout issues of the whole circuit. Then he can make his attention concentrate on the how to get a good layout. good divded work always goes well.
 

the second way is usually used in companies. in industries, pipeline work is more efficent and profitable.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top