Do you know JASPER Design? for FV

Status
Not open for further replies.

kal9

Newbie level 1
Joined
Apr 9, 2007
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
hello all.

I'm interested in FV and Jasper design.
but neither I ever use Jasper's Tool nor any of FV Tools.

so I have some questions, regard FV Tools.

What is FV strength and weakness?
FV is not popular yet, in korea.

What is JASPER's Tool (so-called JasperGold) strength and weakness?
I dont know strength n weakness of Jasper well.

I'm afride I'm a new in EDA department. and I cant english well.
so, I'm not good yet.

Have you ever used any FV tools, Please Give me your help.

Thankyou
 

Formal verification means proving a design (RTL) against its mathematical properties. Properties can be described in property specification language (PSL) or systemverilog. Formal verification does not require test bench so no test bench hassle. The downside is that formal verification can not be used for large designs datapath designs like 32 bit multipliers or higher.

Jaspergold is the tool that lets you perform formal verification of your RTL design.


Do you have jaspergold license?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…