Difference between if and iff in system verilog

Status
Not open for further replies.

daut

Newbie level 1
Joined
Jun 6, 2015
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
6
I know that iff is used to disable assertions based on certain conditions and also used in cover-points to disable them. But why not use if instead of using iff?
 

Paragraph 9.4.2.3 Conditional event controls in IEEE Std 1800-2012, the recent version of the language reference manual explains it very clear. The document can be freely downloaded at IEEE, so read it yourself.

"iff" is describing a gated clock with specific behaviour, you can't use "if" in this place. In terms of synthesizable HDL, it's the equivalent to a clock enable construct.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…