Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

desingning a 4-bit microcontroller in VHDL & FPGA

Status
Not open for further replies.

yash1709

Newbie level 4
Joined
Jul 24, 2009
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Mumbai
Activity points
1,336
4 bit microcontroller

Can someone help me out with a design of a 4bit µC inVHDL
I am currently a fourth year electronic engg student of mumbai univ
I would like to implement this on hardware using an FPGA
This is my final year project....so i basically want to first do this and later interface i/o devices to the FPGA for different µC functions
Since i've been studying in MU..i dont have any knowledge VHDL and the FPGA...MU sucks..can someone please help me out here!!!
I am currently learning VHDL from J.Bhasker and the VHDL cookbook by peter aschenden...and since our coll has a Xilinx spartan 2 kit..we have to implement it on that kit only
PLEASE GUYS i NEED serious help here
 

microcontroller vhdl

guys come on!!!...need a lot of help here...totally amateur at this!!!!....PLZ

Someone...plz reply!!!
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top