Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

designing divide by 3/2 counter

Status
Not open for further replies.

ASIC_intl

Banned
Joined
Jan 18, 2008
Messages
260
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
0
clock_dividers_made_easy

How to design a divide by 3/2 counter which is synthesizable?
 

Re: designing divide by counter

Hi pal


i have a doc regarding the same
but due to some reason i m getting this error msg from EDABOARD

Sorry but this file has already been posted! Better copy and paste following link into your post, where the same file is located:




so give me ur email id i ll post it to u


regards
natg
 

Hi,

Yeah use the document Clock_Dividers_Made_Easy.pdf, it is very usefull. You can make easily 1/2,3/2 and 1/5 divider with 50% duty cycle
 

Can u make a divide by 3/2 counter with using of two FSMs among them one operates in positive edge and the other at negative edge.

The clock_divider_made_easy.pdf approach is different.
 

10_1219831540.jpg


lep is the pulse you generate whenever you FSM counter counting clock edges becomes 0. lep_d1 is the output of the register with input lep clocked on negative edge. The output is a simple OR of these 2 signals.
 

we want a divide by 3/ 2 counter not a divide by 3 counter whose timing diagram has been drawn.
 

Hi ASIC_intl, Can you give me a reason why do u want to go for FSM approach for the divide by 3/2 clock generation?
 

There is no reason particularly. It can be done in that way also. The way suggested by the document of STMicroelectronics is a traditional approach.

Can u solve in the way I stated!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top