Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

delay in clock cells for postsynthesis simulation

Status
Not open for further replies.

quiet83

Newbie level 6
Joined
Aug 1, 2012
Messages
14
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,377
Now in my design, the clock signal is generated by a OR gate.
There will be a problem when I do the post-synthesis simulation, because the delay of that cell is too large.
How can I solve this problem?
I have already set the input clock signal as ideal network, and it seems it doesn't work.
Thanks.
 

Big delay on the clock gating cell is the result of a big output capacitive load at this cell. You need to use the buffers (repeaters) in the clock path to reduce capacitive load at the first cells in path and decrease delay. This problem can be solved at the layout generation stage by synthesizing clock tree with needed buffers and inverters. But if you want to simulate your circuit at post-synthsesis stage try to resynthesize your RTL with "set_dont_touch_network" attribute on the clock gating port in DC or "preserve" attribute in RC.

Regards,
Kuxx.
 

I have tried both "set_ideal_network" and "set_dont_touch_network". I can also see the large delay in the sdf file after synthesis.
Does it mean i can't do the post-synthesis simulation, but only post-layout simulation after I finish the layout?
 

I have tired both "set_ideal_network" and "set_dont_touch_network". I can also see the largy delay in the sdf file after synthesis.
Does it mean i can't do the post-synthesis simulation, but only post-layout simulation after I finish the layout?


Hi,

I am having the same problem, the post synthesis SDF defines a huge delay (~50 clk cycles) at root buffer of the clk tree. This leads to timing violations and failure of gate-level simulations.

If you found a solution to this problem, please share it in the forum.

Regards,
George
 

Hi,

I am having the same problem, the post synthesis SDF defines a huge delay (~50 clk cycles) at root buffer of the clk tree. This leads to timing violations and failure of gate-level simulations.

If you found a solution to this problem, please share it in the forum.

Regards,
George


Hi,

In case of simulation, I just manually changed the value to a very small one in the sdf file and since it will be optimized in the back end phase.
 
can u check the write_sdf command. Is it used SDF 2.0 or 3.0 ..Some of the variables need to control .

Thanks Sam
 

when you define that network as clock, i think DC regard it as ideal_network by default,so it will no add buffer tree to that network,and if this clock will drive lots of registers, it needs large gates.
i think the delay will be reduced after P&R.If you do want to see the result after DC,you can set_min_delay of that gate,but it may not works well.
 

the delay of this cell can be decrease in P&R flow.
and i think cell delays in DC is not real and will not correlate with the delays after P&R.

and also check the tran and cap values to that cell, and lib delays.

thanks
Rama Krishna
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top