Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

DC_SHELL constraint about input delay and output delay

Status
Not open for further replies.

bigdog

Junior Member level 2
Joined
Jul 18, 2005
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,452
input delay and output delay

Hi Guys,

I am confused with the command "set_input_delay" and "set_output_delay":
The clock frequency is 50MHz.
For constraint on a path from input pin to a DFF, I want the logic between input pin and the DFF to take only 5 ns,
should I use "set_input_delay -max 15" or "set_input_delay 5"?
And for output path, I still want the logic between DFF and output pin to take only 5 ns,
so which instruction is right: "set_output_delay -max 15" or "set_output_delay 5"?

Best regards
 

input delay constrain

Hi,

You can use "set_input_delay -max 15" and "set_output_delay -max 15" for your requirement.

Best regards,
 

input delay

Ok, thank you so much!

Best regards,
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top