Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Creating a verilog netlist for a schematic in cadence IC6

Status
Not open for further replies.

Allure2009

Newbie level 1
Joined
Aug 17, 2010
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
UK
Activity points
1,288
Dear All,

I have created a design in Cadence IC6 using faraday standard cell lib.

I would like to create layout from the schematic with auto rooting.

I gather "Encounter" tools would be one of the solution yet I need first generate a netlist from from my schematic.

I found this tutorial from IC5 but alot naming had change and i just could not complete the setting base on this old tutorial.

**broken link removed**

can anyone provide the tutorial or manual on how to create verilog netlist from schmatic?


Thanks in advance.
Kind regards
H
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top