[SOLVED] Converting Bi directional to single directional input

Status
Not open for further replies.

ultimate_kc

Newbie level 6
Joined
May 20, 2015
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
68
Hi,

I have a bi directional signal, say SDA in Top module. i want to convert this bi directional signal as input and output in the top module and feed into different sub modules. Is there any primitives in VHDL for this conversion? Any help will be thankful.
 

Use an IO Buffer. Either Altera or Xilinx have them.
 
Hi,

A tristate or open drain output buffer in the output direction, and a input buffer in the input direction.
Then you have different lines for input and output.

Klaus
 
Why do you need a specific primitive when writing VHDL.

Code:
bidir_signal <= '0' when out_signal = '0' else 'Z';
in_signal <= bidir_signal;
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…