Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

compile verilog in cadence

Status
Not open for further replies.

sambhav007

Junior Member level 1
Joined
Jan 3, 2007
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,403
Hi,

I want to know how to compile a verilog file and simulate the design.

Thanks,
Sambhav
 

Hi,
If you already have the verilog file ready, then
1) To compile verilog file, just use the command "verilog <File name>" if you have verilogXL else use the command "ncverilog <File name>" if you have ncverilog

2) To simulate the verilog file you need a test bench. It should contain all the vectors for which you want to simulate the design.

regards
Chethan
 

Hey
I have previous experience with cadence tools
Kindly tell us your problem in detail and then I can help u
 

Thanks.! i'll try that out.. and yeah, oncei write the test bench, i need to compile it in the same way right? and how to siimulate my test bench? is it all command interface only isnt there a graphical interface for doing the same?
 

the command for simulating ur verilog test bench is same. both ncverilog and verilogxl have graphical interface. U need to invoke them in ur test bench. ur test bench shd contain all vectors for which u want to see if functionality is correct.
 

to invoke the gui tool of cadence, you should write "simvision" in your shell
 

The commands verilog, ncverilog, simvision do not work.. it says command not found.. probably i need to do the setup.. can anybody tell me what setup i need to do before i can use verilog xl or ncverilog..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top