code is not synthesizable

Status
Not open for further replies.

ammassk

Member level 2
Joined
Jul 19, 2012
Messages
43
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,606
Dear all

I wrote a Vhdl code for checkinh the number id positive or negative. In simulation I am getting the answer. But the code is not synthesizable. I used the format as shown below.

if(clk='1' and clk'event)then
if(ready0='1')then
if(y0(y0'left)='1') then
alpha0<="1111111111111111";
end if;
end if;
end if;
Please help me to make it synthesizable.
 

there is nothing wrong with the code you posted as it is, but without context we cant tell what the problem is. Im guessing there are problems elsewhere in the code. Please post the whole code.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…