Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

code is not synthesizable

Status
Not open for further replies.

ammassk

Member level 2
Joined
Jul 19, 2012
Messages
43
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,606
Dear all

I wrote a Vhdl code for checkinh the number id positive or negative. In simulation I am getting the answer. But the code is not synthesizable. I used the format as shown below.

if(clk='1' and clk'event)then
if(ready0='1')then
if(y0(y0'left)='1') then
alpha0<="1111111111111111";
end if;
end if;
end if;
Please help me to make it synthesizable.
 

there is nothing wrong with the code you posted as it is, but without context we cant tell what the problem is. Im guessing there are problems elsewhere in the code. Please post the whole code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top