Code coverage: how merge results for different instances?

Status
Not open for further replies.

ireon

Junior Member level 2
Joined
Mar 28, 2013
Messages
21
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,455
Hello, I run code coverage using Questasim with the option "-cover sb" and after merging of all tests I get separate results for instances of one design module. Is there any way to merge coverage results of that instances into one design unit-based result?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…