can i write this code using FSM-how

Status
Not open for further replies.

Khush

Newbie level 2
Joined
Mar 9, 2006
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
Implement the design by using VHDL and download your design to the FPGA board.
Use three LEDs to display the counter values.
1). At any time, when user pushes the switch 1, LCD should display “S0” and the 3-bit counter is cleared to
all zeros.
2). At any time, when user pushes the switch 2, the 3-bit counter will load values set up by three switches
and start to count up. When the counter counts up, LCD should display “S1”. When the counter value is
equal to 7, the count value will change to 0 at next clock cycle and won’t change any more, and LCD should
display “S2”.
3). Only after LCD displays “S2”, if user pushes the switch 3, the 3-bit counter will count down, LCD
should display “S3”. When the 3-bit counter value becomes 1 again, the count value will change to 0 at next
clock cycle and won’t change any more, and LCD should display “S0”.
 

hi,
s1 is reset, s2 is set.
you should look some books over and then design it. it's a simple design.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…