Can I pass memories as arguments to procedure in VHDL

Status
Not open for further replies.

raghava

Member level 2
Joined
Jul 31, 2008
Messages
51
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,870
HI all,

Can we pass global memories (signals) as arguments to procedures in VHDL.

Expecting answers
 

HI all,

I got the answer. Yes we can pass.

regards
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…