Bidirectional bus connection

Status
Not open for further replies.

yaron866

Newbie level 2
Joined
Oct 16, 2012
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,297
Hello,
I have a I\O to my fpga which is inout signal 16 bit width (data bus from my flash). This bus is going internaly to another sub-modul and there it is connected to an inout port.
I want in the top-level to connect the inout port to an in port of a different sub-modul.
Meaning, to create a 'T' junction in the inout bus to an in port.
INOUT(internal sub-modul) -----------------INOUT (external I\O)
................................................|
................................................|
................................................|
.........................................IN (internal sub-modul)
I have tried to connect the inout port to another signal in the top level and then connect it to the in port, but my synthesis dosent like that.
I have tried to use IOBUF primitive but still it pops error message.
I use ISE 13.2 synthesis tool.

Thank you in advance.
 

The internal FPGA fabric does not support bidirectional connections - these are only supported at the IO pins. You should really separate the busses into separate in and out connections, with the bidirectional only at the top level, with a separate module to arbitrate the bus.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…