Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Asynchronous Input

Status
Not open for further replies.

av24

Newbie
Joined
Jul 8, 2021
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
44
for example, we have a shift register and it is provided with clock and asynchronous input and my question is what is the point of adding a synchronizer while we can synchronize with the clock? am I wrong or its not possible? can anyone please explain?
 

you need to clarify your question, I cannot make sense of what is what. are you using the shift register as synchronizer? and you are wondering if there is an easier way?
 

you need to clarify your question, I cannot make sense of what is what. are you using the shift register as synchronizer? and you are wondering if there is an easier way?
sorry for the confusion a shift-register with clock and asynchronous input and an external synchronizer to synchronize the input and my question is can we synchronize the input with the clock if yes what is the point of using an external synchronizer I got this doubt while I'm reading advanced digital design with Verilog HDL book!
 

A synchronizer is a series of clocked registers that shifts out the data.
A clocked shift register chain can act like a synchronizer if the data input to the first flop in the chain is coming from a separate clock domain.
What is your question....I also did not understand?
--- Updated ---

sorry for the confusion a shift-register with clock and asynchronous input
A single clocked register can never achieve synchronization, you need to connect at least 2 stages. 3 or 5 stages is the industry standard to achieve synchronization of an async signal.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top