Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Astro or SOC Encounter?

Status
Not open for further replies.

cschen

Newbie level 4
Joined
Aug 27, 2003
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Taiwan
Activity points
74
astro encounter

Which one is better?
Please give me your suggestion and also the pros and cons.
 

createrouteblk

SOC encounter is a floorplan tool. do floorplan, CT, IPO.
astro is layout & route tool like apollo.
 

encounter + floorplan + clock domains

SOC encounter can alos do the P&R job. But it can finish the whole chip. It need the help of IC craft and vistuoso to complete the routing. Therefore, Astro is better than SOC encounter.
 

astro pornor

:)
If design is 1-2M gates ,you can select Astro,but while using Astro to
P&R 5M design,it will be so slow. and SOC Encounter is more suitable.
 

astro report gate count command

Astro is the best in terms of overall quality.
SoCEncounter become better & better, best floorplan tool, but for fast turnaround, better tapeout quality, you'd better find another solution except that you have cadence expert level AE support you.
Magma: Best tool in industry in term of area
PC: Best tool in term of timing, but sometime it's not routable
Incentia: Not big/famous tool, but timing is compatable with PC.
montery: Forget about it, old technology, poor managerment, worst tool I ever used.
 

how to add routing blockage in astro

Which tool(s) can run only 2 layers metal P&R ?
I think that encouter need at least 3 layers, doesn't it?
 

pcs gate count

For only 2 metal routing you must set-up your router. For example in SOC you can use wroute or nanoroute - both can be set to run only on 2 metals.
 

soc encounter astro

Magma is a very powerful tool.We have tested it:
1)small gate count about 1M gate with 4~5 clock domain
Magma can do it quicker and got better timing results compared with PC+Astro
2)large gate count about 4M gate with 30 clock domain
Magma can't handle such huge design.

So I suggest using Magma dealing with smaller design.It's very convenient for a single tool can complete so many tasks
 

magma/astro

eexuke said:
Magma is a very powerful tool.We have tested it:
1)small gate count about 1M gate with 4~5 clock domain
Magma can do it quicker and got better timing results compared with PC+Astro
2)large gate count about 4M gate with 30 clock domain
Magma can't handle such huge design.

So I suggest using Magma dealing with smaller design.It's very convenient for a single tool can complete so many tasks

as to your 1M gate design,did you do it all the way down to GDSII and extracted RC then analysised the timing in PrimeTime? I want to know your comparison enviroment. I taped out 2chips with Magma, but the timing result is not as good as PC+Astro although 2 best magma AEs work onsite. Maybe my design is too critical? I don't know.

cdic
 

magma encounter astro

Sorry for I don't know the detail.It is just a evaluation job in the company and I saw their final results.They recommended magma for its quick turnaround time and better results for small designs.I doubt whether magma has a feature to do RC extraction.But as far as I know, magma timing report is slightly different from PT.
 

magma ic compiler soc encounter

Is there any one can show me that how to setup SOC Encounter to handle 2 metals routing?
 

soc encounter price

Try, declare in LEF N routing metals, run placer; next add a routing blockage on desired metal that cover all chip. Command is like:
"createRouteBlk x1 y1 x2 y2 -layer x -name Metalx"
 

encounter astro

Encounter for the floorplanning, Astro for Routing. Placement still prefer Physical Compiler. However Astro is very very expensive.
 

I will try it , Thank you xirix.
 

hahaguy .. do you know the price of astro ??
 

eexuke said:
Magma is a very powerful tool.We have tested it:
1)small gate count about 1M gate with 4~5 clock domain
Magma can do it quicker and got better timing results compared with PC+Astro
2)large gate count about 4M gate with 30 clock domain
Magma can't handle such huge design.

So I suggest using Magma dealing with smaller design.It's very convenient for a single tool can complete so many tasks

I met the CEO of Magma on this year ASPDAC conference. He said the final aim of Magma's CAD tools is cut the ic design flow, from RTL directly to GDSII. But Magma's tools is not mature now.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top