A question about digital module timing constraint!

Status
Not open for further replies.

precession

Newbie level 3
Joined
Sep 6, 2006
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,306

Here is a depiction from Synopsys DC document.
But I really can not understand why "min = min_path - hold".
Let's think about the ideal case which the min_path equal to zero.
In this case, the output_delay value is negative.
Negative output_delay value means that the data could be changed unavailable before the clock’s active-edge. But how could that possible?
I can not understand. Who can explain it to me?
Thank you very much!
 

the hold value (0.4) is the new data available time after the posedge of clock.

If this value is 0, then mim output delay is just the min path delay out of chip.
so the constrained internal path delay inside chip is -min_delay.

If the hold value is greater than zero, it requires that the data should keep stable longer time, so the min path delay inside chip is -min_delay+hold, so the min output delay is just -(-min_delay+hold)= min_delay-hold . This constraint is more strictly than that of the case with hold value 0.
 

    precession

    Points: 2
    Helpful Answer Positive Rating
Ah, I see, I see.
Sorry, I made a mistake.
Output_delay is the time needed before but not after the clock's active-edge.
So negative output_delay value exactly means the hold time after the active clock edge, when the the min_path equal to zero.
So, problem solved. Who can tell me how to delete this post?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…