4 bit counter using 2 bit counter

Status
Not open for further replies.

learning_curve

Member level 1
Joined
Sep 10, 2010
Messages
38
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,518
hi ,

I need VHDL code...for implementing a 4 bit binary counter from 2 bit binary counters. Basically when the terminal counter of 1st 2 bit binary counter is 11,then the 2nd 2 bit binary counter should start counting.
I understnd tht the o/p if 1st 2 bit counter should go to AND gate whose o/p becomes 1 when 1st counter o/p is "11". The o/p of and gate can act as enable to 2nd counter.

I am not comfortable with the syntax of VHDL hence I need the code.
The architecture of 4-bit counter should be STRUCTURAL where 2 bit counters will be used as components.

thnks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…