16 bit carry look ahead adder

Status
Not open for further replies.

Mkhitar Ghazaryan

Newbie level 1
Joined
Oct 31, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
6
Hi,
I need a verilog code for 16bit signed carry look ahead adder,
Please help me.
 

The easiest way to get the code will be to write the code yourself.
 



we will use a module called sum to perform the XOR since the carry is computed seprately

module sum(a,b,carryin,result);
input a,b,carryin;/*add these bits*/
output result;/*sum*/

assign result=a^b^carrin;
/*compute the sum*/
end module

 

Attachments

  • Untitle.png
    16.2 KB · Views: 85

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…