Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

SYNOPSYS DC & CADENCE NCLAUNCH

Status
Not open for further replies.

NATHANHSIEH

Newbie level 6
Joined
Nov 24, 2004
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
142
nclaunch

HI!!
I used synopsys design compiler to generate gate level file .
how to used cadence nclaunch( or ncverilog) simulation????
pre-sim is easy but synthesised gate level simulation need to link database or
lib.If you have any method let me more clear the sense...
 

cuvmur

hi,
for your synthesis, you need synthesis library named with .db.
so your simulation tool need a simulation library also. it's a file with .v or a directory that all file(cell) with .v.
you would use '-v' or '-y' option.
 

ncelab: *e,cuvmur:

HI~z81203
Do you use "GUI(grapher user interface)" for dc & ncverilog???
Your means ".v" is after synthesize gate level's ".v" file???
When I run synthesized simulation(the tool is cadence nclaunch),that
appear error message is
" ncelab: *E .CUVMUR:instance of module/UDP 'XOR2MAC' is unresolved
in 'worklib.lab1_DW01_add_9_0:module' "
If you know this error please help me .....
 

nclaunch simulation

Nope, all you needed is verilog simulation model. This model are provided by library vendor and usually in two formats:

1. A single .v file includes all cell instances.
2. A directory contains a lot of .v, in which all the cell has their only .v file associated with.

You should run your gate level simulation with this library included.
 

nclaunch gate level simulation

ye
Before doing ncelab, you should compile the cell model file in ncvlog or ncverilog, such as tsmc25.v.
 

nclaunch cadence

Simple way Just use ncverilog in command line mode

ncxlmode netlist.v -v tsmc25.v

where netlist.v <-- ur netlist to be simulated
tsmc25.v <-- cell library verilog models you can change this with ur
U need to place both the file in same dir or use +incdir+ option.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top