Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to design a frequency comparator?

Status
Not open for further replies.

bigpop

Junior Member level 3
Joined
Nov 24, 2004
Messages
29
Helped
7
Reputation
14
Reaction score
5
Trophy points
1,288
Activity points
179
can anybody give me some advice on how to design a frequency comparator?
the input is two clocks and the output is the logic signal. thanks
 

frequency comparator circuit

digital circuit may arrive at your need. perhaps two capacitors charged by two clocks are two inputs of comparator.
 

frequency comparator ic

solution 1) -> make a counter and counter a fix period for both clock
solution 2) -> make 2 DFF, clks are clock A , clockB. the D of both DFFs is (clockA AND clockB), let Qs charge two cap as imputsof comparator
 

frequency copmparator

Does anybody knows any paper or book discussing circuits for this purpose ?
 
check frequency difference clock

I also want it
 

analog frequency comparator

Look for books about PLL. Some PLL use just a XOR gate for phase & frequency detector.
 

frequency comparator flip flop

PLL usually uses PFD (Phase Frequency Detector) to compare frequency and phase differences between 2 clocks .This is simply performed by using 2 D-flip flops each triggered by one of the clocks and D connected to '1' and the outputs of the 2 flip-flops are anded and connected to the asynchronous reset of the 2 flip flops .This circuit would be a good frequency comparator but it is also a phase comparator ,meaning that it would give an output even if the 2 clocks have the same frequency but different phases .

I suggest an alternative method (but I'm not sure if it will work) .Design 2 counters each driven by a different clock (both counters have the same size) .At start of comparison reset both counters ,then when one counter overflows, check the value of both counters ,if both are the same then the clocks are equal to certain accuracy .If not ,then the first one overflowing is of higher frequency and the difference of counters' values can give you the relative frequency difference .
For better accuracy use larger counters but the output will take more cycles to be given .
 

frequency of operation of comparator

You could use two frequency to voltage converters (one for each clock). Look at pg. 622 of "Bipolar and MOS Analog IC Design" by Grebene for frequency to voltage converter design. You can then simply compare the two outputs.
 

digital frequency comparator

i think if you can find the triggle point of two clk
and do some logic on it ,it can work.
 

friquency comparator circuit

i did the same block a few months ago. i used one as a reference to count the other clock and output a logic. basically, just counters and combinational logics.you just need to be careful when you count it.

the silicon works.
 

adc comparator flip-flop frequency

use PLL(Phase locked Loop) IC
checkout national semiconductor website
 

phase comparator d flip flop

look for paper on 6-bit FM based flash ADC.
it uses frequency based comparators.
It is nothing but FlipFlop having delay element in its path.

You can also have an analog ckt. having Diode and MOS. it can be used as frequency based Comparator.
 

comparator circuit frequency

Im also looking for such.
So what is the best or can you show one?
 

phase frequency comparator

as a simple example :
If u have two exactly similar signals an XOR them , then the output would be zero.
u can use and extend this idea to make freq comparators.
 

hi frequency comparator ic

well i got some chips, and would like to build a frequency and voltage comparators.
 

digital analog frequency comparator ic

bigpop said:
can anybody give me some advice on how to design a frequency comparator?
the input is two clocks and the output is the logic signal. thanks

Use a counter, the one which can finished first is high frequency.


But please take care of the precsion.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top