Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how can display all VHDL variable in modelsim

Status
Not open for further replies.

tarkyss

Full Member level 6
Joined
Aug 1, 2005
Messages
340
Helped
26
Reputation
52
Reaction score
8
Trophy points
1,298
Location
China
Activity points
4,162
vhdl shared variables scope

I can not find variables of some vhdl sub modules in modelsim objects windows
why?

thanks
 

display variables in vhdl

tarkyss said:
I can not find variables of some vhdl sub modules in modelsim objects windows
why?

thanks

Since VHDL variables are scope limited to individual processes (except for shared variables), Modelsim requires that you specifcy the process name while dumping. For e.g.

Code:
 p1 : process
    variable a_var : bit;
    begin
    wait;
   end process p1;

I do:

Code:
  log /top/dut/p1/a_var

Or to add all vars in a process do:

Code:
  log /top/dut/p1/*

HTH
Ajetha, CVC
www.noveldv.com
 

    tarkyss

    Points: 2
    Helpful Answer Positive Rating
Hi
İm begineer in FPGA and VHDL. im trying to make a fir filter,,i did almost, i wanted to simulate but there is some mistakes, i want to correct it , but i didnt find where it is. i wanted to see variables in modelsim,,but i couldnt find how. here a friend explained sth but i didnt understand where will i write them etc... is there anyone who knows it a simple way? thanks...

Added after 22 minutes:

ok guys,, i found the way,,for friends who has the same problems..
*give a name to process
*add process tool to toolbar
*right click to name of process in process tool and display option.
*select in region mode, and it will browse click ok. thats all..
 

Buğra said:
Hi
İm begineer in FPGA and VHDL. im trying to make a fir filter,,i did almost, i wanted to simulate but there is some mistakes, i want to correct it , but i didnt find where it is. i wanted to see variables in modelsim,,but i couldnt find how. here a friend explained sth but i didnt understand where will i write them etc... is there anyone who knows it a simple way? thanks...

Added after 22 minutes:

ok guys,, i found the way,,for friends who has the same problems..
*give a name to process
*add process tool to toolbar
*right click to name of process in process tool and display option.
*select in region mode, and it will browse click ok. thats all..



Hi,
Once I also faced the same problem.I think you are doing mixed level simulation.The only solution to ur problem is to either change all the variables to std_logic signals or add some extra signal like debug_signals and place them along with the variables which u want to view.So, you can make out what is getting assigned wrong as these debug signal will have the same value as of the variables.
 

In modelsim, you can add the variable to the wave viewer, to find it, you need to add the windows locals, the variable didn't appears on the Objects windows.
so you need to: View > Locals
You need to select the process to see the variable on the window Locals as display on the following pictures
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top