Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need Help, How to pick up TSMC lib file for Gate SIM?

Status
Not open for further replies.

binliu

Newbie level 4
Joined
Jan 27, 2012
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,339
Dear All,

I was trying to get a gate sim running in my environment. There are components from TSMC library in the Gate level netlist that I need to include to the compile file. We use compile.xml as compile spec for all design/test file for makefile to pick up during compile stage. However, i couldn't find a compile.xml file in the given TSMC libraries. Just wonder, what is a good way of doing it so that in Gate sim, the TSMC library will get picked up by the SIM? I am using modelsim right now, if it is related....

Thanks a lot!
Bin
 

Hi Bin,

I've recently done a TSMC gate level simulation.

Normally the gate library will be in the form of a big verilog file (or several files), and you need to edit your compile script to include this.

If you're using ModelSim, you need to invoke vsim with the -L option to point it at the technology library.

If your testbench is not written in Verilog, you'll need a mixed Verilog/VHDL/SystemC/whatever license.

If you haven't done a gate level simulation before, be prepared for all sorts of problems. If your design is large it will quickly consume huge amounts of RAM. You may find there are issues with your simulation filling with X values - if that's the case, look to your RAM models and any undriven inputs, which are prime candidates for X propagation.

Good luck!
Siskin
 
  • Like
Reactions: binliu

    binliu

    Points: 2
    Helpful Answer Positive Rating
Thanks siskin!

This is what I did...
I found a library directory from the pool, and add a VSIM_OPT += -L {LIB_PATH}

I saw modelsim picked up the lib, but i then got a fatal error complaining about the lib is outdated... That's because the lib is compiled using an older version of modelsim... I am contacting the person who generate the lib right now...

Thanks
Bin
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top