Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by zzczx

  1. zzczx

    Tutorials for learning HSpice

    Learning HSpice Also,you may download some Hspice datasheet from internet for your reference.
  2. zzczx

    what's difference between analog and digital layout?

    Up to now, digital layout is finished by APR commonly. Analog layout is finished by hand because of the special requiue of electronic charastics.
  3. zzczx

    Help me to solve a multicycle path in soc error

    Re: multicycle path in soc. the soc doesn't report any error,and say it read the multicycle successly. Can you give any more hint about it ? thank you very much.
  4. zzczx

    [question]multicycle path in soc.

    I use soc to do apr, but It reported many violated. After read the report, I found it seems the soc encounter doesn't recognize the multicycle path constraint in dc script. part of dc constrain create_clock -name {CLK} -period 14.000000 \ waveform { 0.000000 7.000000 } [get_ports...
  5. zzczx

    Help me to solve a multicycle path in soc error

    multicycle path in soc. I use soc to do apr, but It reported many violated. After read the report, I found it seems the soc encounter doesn't recognize the multicycle path constraint in dc script. part of dc constrain create_clock -name {CLK} -period 14.000000 \ waveform {...
  6. zzczx

    install synopsys vV2004 on redhat9 error

    thanks for your reply. the file :"/home/eda/synopsys/auxx/tcllib/snps_tcl/.ci_common.utils" does exist. and i use bash.. For I can't find out the solution,so I update the os to rhel 4.0, and it works well now.
  7. zzczx

    install synopsys vV2004 on redhat9 error

    after install the product, and run dc-shell-t, the following error come out: Initializing... Error: couldn't read file "/home/eda/synopsys/auxx/tcllib/snps_tcl/.ci_common.utils": no such file or directory Use error_info for more info. (CMD-013) Error: unknown command 'setenv' (CMD-005)...
  8. zzczx

    errors about ic5141 installed on redhat 9.0

    I had find the answer:): Add this environment, assuming csh setenv LD_ASSUME_KERNEL "2.4.1"
  9. zzczx

    errors about ic5141 installed on redhat 9.0

    when I install ic5141 at redhat9.0, I follow gitarrelieber's article "How to install Cadence IC5.0.33 under Linux RedHat9", and the total time that i install ic5141 is more than 4 hours.;( when I type icfb&, the following error comes out: Incorrectly built binary which accesses errno or h_errno...
  10. zzczx

    How to write a script for STA to run Primetime?

    Re: script for STA Advanced ASIC Chip Synthesis (2nd Ed, 2002) have some scipts. the primetime workshop, have lab guide,but no lab material. If anyone finds the lab material,please upload. Thanks very much.
  11. zzczx

    a question about dft in design compiler

    hi members,there is a dft question about the section in the book: advanced asic chip synthesis,using snopsys design compiler,physical compiler and prime time. at the section :8.3.8 Logic Un-Scannable due to Memory Element the author suggests that shortcircuiting all the inputs...
  12. zzczx

    design compiler tcl mode 's error

    None meets the same question? Could anyone give a suggetion?
  13. zzczx

    when and why to set_disable_timing?

    set_disable_timing hi members, I am puzzled about when and why to set_disable_timing or set_false_path. For example,here is a figure in the book" advanced asis chip synthesis(2nd)". the author suggests that we should use dc_shell command : set_disable_timing U1 -from A -to Z to disable the...
  14. zzczx

    synopsys parallel case

    synopsys case parallel how did you carry the test?In the following situation: case (4b'sel) 1???:statement; ?1??:statement; ??1?:statement; ???1:statement; defalt:statement; endcase. Is the result same whether with the parallel case directive or not? Since my software's version is older, I...
  15. zzczx

    Some basic question about Digital Circuits

    Do mean that (a+b) plus ab(bar) is equal to (a OR b ) plus (a and b(bar))?

Part and Inventory Search

Back
Top