Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Yoking

  1. Y

    about EPM240 high impedance output

    im now encounter a problem with EPM240 high impedance output,it seems like the io port has connected a low value R to the ground that drop down the voltage provided by other signal on the bus. The circut is very simple. and the verilog code is: assign aup=adjlevel?1'b0:1'bz; assign...
  2. Y

    I Need ur help plz. how to make simple time delay?

    I Need ur help plz. case stat1=> if clkcnt<DelayConst then clkcnt<=clkcnt+1; else state<=stat2; clkcnt<=(others<='0'); (other opcode......) end if; case stat2=>
  3. Y

    VHDL code - serious warnings with simple model

    isplever warning - ngdbuild: logical net ------------------------- im very sorry for that basic error i've made! I just dont wanna mislead other people,so delete the file! thanks for you concern! I have to learn more........................... Oh,My god.whats happenning?
  4. Y

    VHDL code - serious warnings with simple model

    isplever logocal net has no load The attachment is a test vhdl code project.Its very simple,but it has serious waring under isplever 7.0! I dont know how it generated, so you can compile under ise or quartus to check out the reason. I just wonder is it from VHDL code problem or the...
  5. Y

    BASIC VHDL problem!Please help!

    Thanks angelote! That works ok!
  6. Y

    BASIC VHDL problem!Please help!

    Im now learning VHDL,but i encounter an embarrassed problem: i cant simulate this simple VHDL code with modelsim6.0 properly.Please help me out,thanks. VHDL CODE: LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; entity frediv is port(...
  7. Y

    hey,all,help me about 51mcu+RTC IC(ue6005 or T4227) project

    ue6005 i have no idea about RTC IC such as ue6005 or T4227 and the interface with 51MCU! but i have to finish this project as soon as posible!anyone can help me? pose a diagram or schematic or C51program for example................ ----thanks
  8. Y

    at89s8253 spi port problem,help

    at89s8253 spi thanks silvio! 1: the high four bits in one byte are always "1" thats 0xfx( hex) 2:i have readed these kind of PDF file a thousand times! i have no idea about the relation between the SPI operation and P1=0xff? can you explaint?(check the attachment ) thanks,:|
  9. Y

    at89s8253 spi port problem,help

    at89s8253 edaboard check this c51 code example: why the *ptr pointer parameter point to ROM data ,it work correctly!(no need to let:P0=0xff) but it work incorrectly when pointer point to external RAM?(Penomena:always missing the high four bits in one byte),but if adding that clause:P0=0xff,it...
  10. Y

    how to select Pullup(down) Resistors?

    in digital circuit,we can find many pullup or pulldown Resistors in output our input port!but how to select that kind of resistors in our own circuit?anyone can help!i really have no idea about that!thanks! :|:|:|:|
  11. Y

    Help beginner in 8051 program.......

    ha,if you can read chinese,try this www.c51bbs.com ,im sure you can find something useful!its the one of the famous forum about c51 in china.
  12. Y

    Help beginner in 8051 program.......

    try this website: https://www.keil.com/

Part and Inventory Search

Back
Top