Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by sanjanavee

  1. S

    [SOLVED] Syntax error in VHDL program

    Can anyone tell me whats wrong with this program?? library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity top is port ( clk_in : in std_logic; reset : in std_logic; WEN : in std_logic...
  2. S

    3 bit counter with reset

    yes exactly.. I need to make sure that no bits are lost during the reset of 1 counter.. so im using another counter. but how exactly do i execute this vhdl?
  3. S

    3 bit counter with reset

    Hey this is my vhdl program for a 3 bit counter with reset.. now i need to know how i can use another 3 bit counter simultaneously in such a way that while the 1st counter is resetting the 2nd counter counts and while the 2nd counter is resetting the 1st counter counts. This is done in such a...
  4. S

    [SOLVED] How many counters can be concurrently used to count larger bits?

    yes yes it totally is related to that :P - - - Updated - - - And thank you all so much :) You all have been of great help! :-D - - - Updated - - - Hmmm.. Im using a 12 bit counter to count "UPTO" 4000. not a 4000 bit counter so yes i guess that solves it right?
  5. S

    Memory type to be used for interfacing with counter in vhdl

    Hmmm okk.. so other than the flip flops present in the counter I would like to use another memory element! - - - Updated - - - well "BINS" are just discrete intervals.. intervals in a bar graph or some such thing. So in my experiment each bin consists of 2-3 bits of data.. so i just need a...
  6. S

    [SOLVED] How many counters can be concurrently used to count larger bits?

    ok so what EXACTLY i want is to count photons in a single photon detector.. since its a SINGLE photon detector well i do pretty much need a LARGE counter.. all i wanted to know is whether it is possible to use a single counter itself for counting purposes.. since it would result in accumulation...
  7. S

    [SOLVED] How many counters can be concurrently used to count larger bits?

    Hey I'm planning to use a counter to count upto 4000 bits.. is it advisable to use a single counter or should i use multiple counters of smaller sizes concurrently in order to get data that doesn't accumulate? I need discrete data that's why...
  8. S

    Memory type to be used for interfacing with counter in vhdl

    Hey Im new to vhdl and i would like to know what type of memory i could use for storing data from a synchronous counter of size 1200 binsize( not bits)

Part and Inventory Search

Back
Top