Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] How many counters can be concurrently used to count larger bits?

Status
Not open for further replies.

sanjanavee

Newbie level 5
Joined
May 31, 2013
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,377
Hey I'm planning to use a counter to count upto 4000 bits.. is it advisable to use a single counter or should i use multiple counters of smaller sizes concurrently in order to get data that doesn't accumulate? I need discrete data that's why...
 

Hey I'm planning to use a counter to count upto 4000 bits.. is it advisable to use a single counter or should i use multiple counters of smaller sizes concurrently in order to get data that doesn't accumulate? I need discrete data that's why...

Are you sure you need 4000 bits for counter...? are you building supercomputer ;)
Or it it you need to count til 4000, in which case you might need 2^12 bit counter (log(base-2)4000) or 2^12 = 4096
4000 bits means 2^4000...I think you have been doing your logic something wrong... If you share what you want to do may be we can help...

By the way if you want to use large bit counters, I recommend to use the smaller counters and combine them to make bigger counter.
 
at 100 Mhz, a 40 bit counter takes 3 hours to wrap around, so a 4000 bit counter would probably take longer than the age of the universe to wrap around!
 

sanjanvee,
you are clear of what exactly you want.....without that how you will get the solution.
Read more and analysize the thing...
Put the problem clearly...
 

ok so what EXACTLY i want is to count photons in a single photon detector.. since its a SINGLE photon detector well i do pretty much need a LARGE counter.. all i wanted to know is whether it is possible to use a single counter itself for counting purposes.. since it would result in accumulation of data.. i need a counter which would detect the presence of a photon which is given by the rising edge.. and Im sorry i did clearly mean count "UPTO" 4000.
 
Last edited:

So finally you want to count upto 4000....that you can do, it not a tough job. As some one mentioned above you need only 12 bit counter...
this can be done in any small FPGA...Well, is this post related to BINs post? I think, it is.
 

So finally you want to count upto 4000....that you can do, it not a tough job. As some one mentioned above you need only 12 bit counter...
this can be done in any small FPGA...Well, is this post related to BINs post? I think, it is.
yes yes it totally is related to that :p

- - - Updated - - -

And thank you all so much :)
You all have been of great help! :-D

- - - Updated - - -

at 100 Mhz, a 40 bit counter takes 3 hours to wrap around, so a 4000 bit counter would probably take longer than the age of the universe to wrap around!
Hmmm.. Im using a 12 bit counter to count "UPTO" 4000. not a 4000 bit counter so yes i guess that solves it right?
 

Yes.

Keep in mind that this exactly is the major difference between microcontrollers and FPGAs. An FPGA can run all its "tasks" concurrently as long as it is big enough to contain them. A microcontroller needs to run one task after another ;)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top