Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Qwerty112233

  1. Q

    SPI timing constraints

    If i have IO pads related to SPI protocol..are we saying i should enquire about the other side of the IO pads and accordingly proceed with timing constraints? Disclaimer - havent done this before - so i really dont know how to go about this. We do have vendor constraints but i really want to be...
  2. Q

    SPI timing constraints

    Does anyone know SPI timing constraints that comes from vendor - does that support all CPOL CPHA based 4 modes? We heard back from vendor it does, but i am not sure how that works out. We plan to run ext clock at 62.5 mhz, ext refclk is 250 mhz, apb / pclk is 125 mhz. Any help is much appreciated!
  3. Q

    LEC sequential optimization

    Is it necessary to have sequential optimization turned on in synthesis to pass LEC? Or its just runtime tradeoff? 1707856856 Also , is it possible to run LEC on RTL with empty modules that we delete in back end? Incoming RTL has empty modules for god knows why - and i delete those in my back...
  4. Q

    What are different types of ENDCAP cells in VLSI and what is the actual difference between them?

    Dumb question, why do we need to extend the well at all? If each std cell has the well inside it wont we get continuous well by abutment? I see these cells too and would like to finally know what endcap cells are doing. Seeing them on core boundary, surrounding macros..
  5. Q

    Conformal LEC long runtime

    My run has determined 25k module pairs for a large hierarchical design. So it is running painfully slow - been 2 days with only ~7k modules processed! I already have no translate for lower level hierarchical PNR blocks and srams turned on, so i am not sure what else do i need to add to speed...
  6. Q

    timing constraints for bidirectional IO pads

    The IO pads shows 12-15 pins and some of them are bi-directional. Do you need anything special while writing timing constraints on IO pads? If someone has done this before, any guidance is appreciated. Right now there's enough reg2reg crap so i have IO timing false pathed.
  7. Q

    2 instances of a module

    In physically designing a floorplan and doing place and route - how do you deal with 2 instances of the same module? Case A : same instance stamped twice into the floorplan? Case B: both flat as sea of gates in the floorplan (not hierarchically implemented and stamped) Q. What happens if...
  8. Q

    Guard ring question

    If you need to isolate certain standard cells separate from other cells in the design, we will need to cut standard cell rows around these cells? Then draw a ring in OD (oxide diffusion), NW, PW layers? will that suffice? These layers OD, PW and NW need to be tied off to power nets..Will this work?
  9. Q

    When there are violations in all areas of setup, hold, transition, cap, which one is recommended to start with fix_*_eco?

    Well my rationale for following this is intuitive: Setup, hold, noise are all based on timing windows. So you fix all clock cap, trans violations first. Then you want realistic violations, so you need to fix max cap and max trans on data path. This ensures that you see realistic numbers...
  10. Q

    When there are violations in all areas of setup, hold, transition, cap, which one is recommended to start with fix_*_eco?

    No, this is sure to become an endless runaway loop. If you cannot step back to place and route, then this is the sequence i have followed before. clock max cap, trans (lock the clocks down asap) Then data max cap/ max trans Then look at remaining setup violations. For the rest, this is helpful...
  11. Q

    Question about wrappers in RTL code

    Agree with thisisnotsam's response. Another case is when you need to do your own DFT for that IP. Most cases the IP will come with its own ports and documentation on how to test it, but if you need any additional testing or anything slightly more complicated on that front, you are free to add...
  12. Q

    How the intial utilisation is decided at PD stage. Why can’t we go with lower utilisation like 20% 30% ? Is it tech node dependent?

    Yes that is true, those limitations can take floorplan sizing out of your hands sometimes. Then you end up with what you end up with : )
  13. Q

    How the intial utilisation is decided at PD stage. Why can’t we go with lower utilisation like 20% 30% ? Is it tech node dependent?

    But in any design you need to at least find the breaking point as your due diligence. Start wherever, but build up to find where you start seeing shorts increase, timing crap out, runtimes explode. All part of knowing the design, this should be true regardless of tech node.
  14. Q

    how to find path to set_disable_timing?

    "If it's something that needs to be handled in PD, use set disable timing -from cell/pina -to cell/pinZ "
  15. Q

    Writing timing constraints for clock divider

    Hi, I am wondering how to write these generated clock constraints at clk out pin, when there is a clk in coming in, div_en and cg en are other signals going to flop and clock gate respectively. Can i just say create_generated_clock -source <CLK pin of flop in the picture> -master CLKIN...

Part and Inventory Search

Back
Top