Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lty

  1. L

    how optimize this logic circuit?

    :-P, Thank you for your reply, I will keep my thinking. I believe there will be a better solution.
  2. L

    how optimize this logic circuit?

    Wait, Anyone could give some suggestion
  3. L

    how optimize this logic circuit?

    input clk, rst; input [255:0] din; //input data; input vld_in; //input data valid flag; input sop_in; //input data packet start flag input eop_in; //input data packet end flag input [4:0] num_in; //the valid byte number of input data;such as 1:din[255:248] is valid...
  4. L

    verification design flow

    From Google: Post Silicon Validation, is basically a validation method to make sure that your fabricated chip (since it is fabricated it is post silicon) is working correctly. Basically it is including all testing methods (testing silicon on board in the labs). It is done to find out if the...
  5. L

    How to assign a value async using systemverilog?

    I want to test a fifo. the fifo read interface have three signals "rd, rdata, nempty". I hope when nempty is valid, the testbench will assert rd to begin a read operaion. But in SV program structure, the rd sigal always delay one cycle, maybe because clocking structure. Can anyone give me one...
  6. L

    RoadMap for FPGA Programming with VHDL

    Hi, Don't be hesitated, learning vhdl programming and fpga design is not hard. You just need a good book and some practice. There are many books for vhdl learning, you could google it. "http://www.asic-world.com/vhdl/index.html", this site is also a good beginning. Enjoy study.
  7. L

    Question About multicore synchronization??

    I like to know how multi-core CPU implements the instruction "read and swap". Is there a reg which store the memory address that is accessing by cpu? then any other cores that want to access this memory address will be blocked. Does anyone confirm my idea? Or give me some links or books to...
  8. L

    how fast could CRC coding be generated and checked now?

    Dose anyone know how fast CRC coding could be generated and checked now? 5Gbps, 10Gbps or 50Gbps? Is there any new generation method for high-speed data? In my method, I have to do 250bits computation at 200MHz system clock for 50Gbps interface. It is hard for my FPGA. could anyone give some...
  9. L

    STA tool and multi-cycle paths

    Re: STA doubt Hi, If you do not set this path as multi-cycle, and your combo-cloud delay is bigger than one clock cycle, your sta tool will warn this violation in report!
  10. L

    Looking for materials to learn ASIC!

    There are many pdf on this site you could refer to. some site is useful: www.opencores.org/ : useful design exaple. www.soccentral.com/ : some tutorial and paper.
  11. L

    Changes Made to Design to Remove False Path

    a false path is use to tell STA tools not to analyze this path. this path is your design's feature, such as reset path, ATPGEN path. these path can not be removed from your design, because it is useful to your design. you must keep them. If you find some false path is not useful, maybe you can...
  12. L

    Looking for tutorials on STA and Primetime

    Re: STA and primetime I think PT's manual is a good book you can refer to. I guess you get this manual from this forum.
  13. L

    Looking for synthesis books that discuss particle approach

    Re: Synthesis Books I think a Verilog HDL book is a good start. Then you could use some EDA tools and their manual to begin your practice!
  14. L

    What is the difference between PLI and DPI in Verilog/System Verilog?

    Re: PLI vs DPI As I know, PLI is the programming language Interface for Verilog; It is used to connecting C language with Verilog and use C functions in Verilog; I have no idea about DPI. Sorry!

Part and Inventory Search

Back
Top