Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jkfoo

  1. J

    Formality stops, never finish

    try running a very stable version of Formality, 2004.06-SP3, to see if it's ok.
  2. J

    DC error: Out of memory(2900000KB used)

    DC Out Of Memory you are using the 32-bit version of DC, try using the 64-bit version instead.
  3. J

    STAMP model for DDR SDRAM?

    .lib stamp Has anyone familiar with or ever come across a STAMP model for DDR SDRAM?
  4. J

    Is it required to generate SDF file in magma???

    I don't it is necessary to generate SDF file to do STA, but it will be necessary if you want to perform dynamic simulations.
  5. J

    I need some help on Synopsys constraints.

    Since it is an inout port, you should include both input and output delays on the port.
  6. J

    Design Compiler Linux 64-bit (RHEL 3.0)

    My synthesis was successful initially(on both 32-bit and 64-bit machine) when I used standard-cell to implement my small register file. Because I have 128 instances of that, I ran into power issue and convert them to compiled memory module, only then I ran into problem. I agreed , perhaps the...
  7. J

    Design Compiler Linux 64-bit (RHEL 3.0)

    I have a >5M gate design, and I ran into memory problem while synthesizing on amd64, Linux 64-bit machine with 4GB RAM. It had exhausted all the available memory and also run into swap, and had ttaken very long time to synthesize. I had a feeling it was due to the Linux OS, anyone encounter the...
  8. J

    custom register file using module compiler?

    Some memory compilers cannot generate memories of very small configurations, eg. 8X32 or 16X16. I was thinking of whether Module Compiler can be configured to use specialized cells(Register File cells) available in the standard-cell library and generate them that are area and timing efficient...
  9. J

    gather information of code coverage tools

    synopsys covermeter + how to invoke it Modelsim also provide code coverage covering statements, branch, expression and toggle coverage. I find it quite user friendly, just need to compile with the coverage options that you want and then simulate by turning on coverage, interactively or script...
  10. J

    custom register file using module compiler?

    Anyone experience in using module compiler to create a custom register file from some specialized regfile cells in the standard-cell library (eg. UMC lib)? Thanks.
  11. J

    Pls recommend book about synthesis.

    If you are a registered user of Synopsys Design-Compiler, just visit the Solvenet site and explore what you want to know, things that you won't be able to find an answer in books.
  12. J

    A question about Clock setting in Synthesis

    If you've done a good job in handling the exchanges of data between different clock domain, perhaps using an asynchronous fifo for that. Then you can safely set false paths between the asynchronous clocks.
  13. J

    Synthesising design with memory macros

    In DC, the memory instantiated in the VHDL file will be treated as black box, do not read the behavorial model of the memory in DC, as they will not be recognized and synthesized. The timing arcs and area are defined in the .lib/.db, you will be able to performed pre-layout timing verification...
  14. J

    HDL-93 HDL-180 - how to deal with the warning

    how about, always @(a[0] or a[1] or a[2] or a [3] or b) ...
  15. J

    Which one is better VmWare or VirtualPC?

    I run vmware(linux) on win2000, it working really fine, provided you have enough memory on your machine. But, running complex applications/tools like design compiler synthesizing a relatively large design can cause your virtual machine to run into swap, and will take ages to complete the synthesis.

Part and Inventory Search

Back
Top