Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by DigitalLogician

  1. D

    Need help for 3 bit binary shift register

    Here is a four-bit version what you are looking for **broken link removed**. Just take out one of the flipflops and one 2-to-1 mux, and you'll be done. You can simulate your own and replace the mux schematics with a single mux icon each. The simulator is here: **broken link removed**.
  2. D

    0-99 counter pls help me

    If you don't mind doing it yourself, you can use 7 flipflops -- as shown at **broken link removed** or [4-bit] Four-Bit Modulo-16 JK Binary Counter. You can actually simulate it online before you start actually physically building it. The links above have an easy to use simulator.
  3. D

    kindly explain me comparator question

    Here is an interactive comparator you can play around with: **broken link removed**. The circuit is different from yours, but the article should help you see things.
  4. D

    Question about four bit decrementor

    You can verify it yourself by simulating it online:**broken link removed**. Just use full-adder elements under Arith.
  5. D

    [SOLVED] Replacing 5 to 32 line decoder with four 3 to 8 line decoder???

    The one is as practical in the real world as the other. All we are talking about is signal flow. Whether we are talking 5-to-32 or 3-to-8, each path IS UNIQUE. Try simulating the circuits: **broken link removed**.
  6. D

    Java Event from imported class

    I have a java program comprising two classes: Alpha and Bravo. Class Alpha contains the main method. Class Bravo contains a Button that will display a text when pressed. Class Bravo also contains this method: public boolean action(Event evt, Object obj) Problem: When I scrap the Bravo class...
  7. D

    I want to learn .... were do i start?

    **broken link removed**. It takes you through digital systems step by step.
  8. D

    VHDL: lsi_10k.COMPONENTS.all; work.CONV_PACK_reg.all

    It's crazy how no one can tell me where to find these libraries. Yet I see them in so many examples online.
  9. D

    nead an out put of simple logic circuit

    Sounds like you have not heard of **broken link removed**. It's an online simulator where you can build this circuit very quickly and see how it works.
  10. D

    VHDL: lsi_10k.COMPONENTS.all; work.CONV_PACK_reg.all

    CVC, I looked through multiple search engines before posting. I found nothing. Could you put it on your site as a pdf or such? Perhaps other people could be helped by it, too. Thanks.
  11. D

    VHDL: lsi_10k.COMPONENTS.all; work.CONV_PACK_reg.all

    Hello everyone: Where do I find out what exactly is in those two libraries? They are used for gate-level designs. lsi_10k.COMPONENTS.all; work.CONV_PACK_reg.all Are there any documents out there? I find many vhdl examples with components like FJK2SP, AN2, AO7, etc. My question is...
  12. D

    What are the main specifications of VHDL core ?

    Re: what is vhdl core It just mean codes. **broken link removed** has a number of full VHDL programs and test benches. And the best part is that each program is accompanied by a fully interactive circuit that you can play around with. Cheers!
  13. D

    Please guide to me for VHDL coding

    I always recommend doing examples first. Don't just read the examples: get a notepad (i.e. text editor) and practice writing what you see. "Monkey see monkey do" is priceless. **broken link removed** is a very good place to start. All the circuits on the site are interactive, and they come with...
  14. D

    related to gate level simulation

    Here is an online gate level simulator:**broken link removed**. since it is what you have to do, you may find it very useful. The site also has all sorts of interactive digital circuits.
  15. D

    multiplication without using * operator in verilog

    Here is a multiplier circuit: **broken link removed**.

Part and Inventory Search

Back
Top